Rencana EUV Agresif Samsung: Produksi 6nm dalam H2, 5nm & 4nm On Track

Samsung Foundry secara resmi mulai memproduksi chip menggunakan proses fabrikasi 7LPP (low power plus 7) Oktober lalu dan tidak memperlambat pengembangan teknologi pembuatannya sejak saat itu. Perusahaan berada di jalur untuk memulai produksi massal menggunakan teknologi 6LPP (6 nm low power plus) yang disempurnakan pada paruh kedua tahun 2019. Selain itu, perusahaan mengatakan bahwa mereka akan merekam SoC 5LPE (daya rendah 5 nm awal awal) yang pertama. dan akan menyelesaikan pengembangan proses 4LPE (daya rendah 4 nm awal) dalam beberapa bulan mendatang juga.

Permintaan Kuat untuk Keripik

Karena turunnya harga DRAM dan NAND, pendapatan konsolidasi Bisnis Semikonduktor Samsung turun menjadi KRW 16,09 triliun ($ 14,302 miliar) pada kuartal kedua, sedangkan laba operasional berjumlah KRW 3,4 triliun ($ 2,877 miliar). Sementara bisnis memori Samsung lemah, perusahaan mengatakan bahwa bisnis pengecorannya menunjukkan hasil yang kuat.

Menurut Samsung, divisi produksi kontraknya melihat permintaan kuat untuk SoC seluler yang dibuat menggunakan teknologi 10LPP / 8LPP serta produk-produk seluler, HPC, otomotif, dan jaringan yang menggunakan fabbed menggunakan proses 14LPx / 10LPP. Secara keseluruhan, jelas bahwa Samsung Foundry membuat banyak produk premium menggunakan teknologi proses FinFET terdepan.

Dalam beberapa tahun ke depan Samsung Foundry akan terus menggunakan node 14 nm, 10 nm, dan 7 nm yang disempurnakan untuk aplikasi tertentu baik dengan optimasi atau dengan memasang modul-modul canggih.

Seperti pembuat kontrak semikonduktor lainnya, Samsung memurnikan masing-masing simpulnya untuk memenuhi persyaratan berbagai aplikasi dan klien alih-alih melompat maju dengan simpul yang berbeda secara radikal setiap 18 – 24 bulan (seperti pembuat keripik yang biasa melakukan tradisional). Mempertimbangkan semua kesulitan yang harus dihadapi oleh para insinyur untuk mengembangkan teknologi manufaktur yang baru, pendekatan ini memungkinkan Samsung untuk mengelola biaya R&D dan risiko pembuatannya dengan lebih baik.

Perbaikan 7LPP: 6LPP, 5LPE, 4LPE

Seperti yang diharapkan, litografi ultraviolet ekstrem (EUVL) akan menjadi pendorong utama bagi proses pembuatan generasi terbaru Samsung yang terdepan. Teknologi pertama yang menggunakan EUV adalah 7LPP dan penggantinya akan menggunakannya secara lebih luas.

Rencana EUV Agresif Samsung: Produksi 6nm dalam H2, 5nm & 4nm On Track 1

Akhir tahun ini Samsung akan memulai produksi chip menggunakan teknologi proses 6LPP, yang kembali ke peta jalan sebelumnya. 6LPP Samsung adalah versi yang disempurnakan dari 7LPP Samsung yang menawarkan kepadatan transistor lebih tinggi (~ 10%), daya lebih rendah, tetapi dapat menggunakan kembali IP yang awalnya dirancang untuk 7LPP. Selain itu, 6LPP mendukung struktur pintar untuk klien yang ingin mengembangkan IP baru yang baru. Akibatnya, klien Samsung Foundry akan dapat menggunakan kembali IP 7LPP mereka tanpa struktur pintar, atau menggunakan yang terakhir, tetapi harus bergantung pada IP baru. Selain struktur cerdas, 6LPP akan menambahkan dukungan untuk hal-hal seperti istirahat multi difusi.

Langkah selanjutnya dalam evolusi teknologi produksi 7LPP Samsung adalah proses pembuatan 5LPE. Yang ini memberikan lebih banyak manfaat ketika datang ke daya, kinerja, dan area dari 6LPP, tetapi juga dapat menggunakan kembali IP yang awalnya dirancang untuk proses awal. Samsung mengharapkan untuk mengeluarkan chip pertama menggunakan teknologi 5LPE pada paruh kedua tahun ini dan berharap untuk memproduksinya secara massal pada paruh pertama tahun 2020.

Rencana EUV Agresif Samsung: Produksi 6nm dalam H2, 5nm & 4nm On Track 2

Samsung Foundry mengharapkan 5LPE untuk menjadi simpul EUVL utamanya pada tahun 2020 dalam hal pita kaset pelanggan, mungkin karena teknologi ini akan dapat menawarkan banyak manfaat untuk berbagai aplikasi, sedangkan hasil EUV Samsung akan semakin tinggi. Alasan lain mengapa teknologi 6LPP dan 5LPE akan digunakan lebih luas daripada proses 7LPP adalah karena Samsung Foundry akan memiliki kapasitas EUV lebih banyak dalam beberapa bulan mendatang setelah membangun saluran EUV di Hwaseong, yang dirancang untuk peralatan EUV sejak awal. Fab, yang menelan biaya $ 4,615 miliar, akan selesai sebentar lagi dan diproyeksikan untuk memulai produksi volume tinggi pada tahun 2020.

Puncak evolusi 7LPP Samsung adalah teknologi 4LPE perusahaan (dan mungkin 4LPP yang tidak ada dalam peta jalan Samsung Foundry terbaru). Pengecoran akan menyelesaikan pengembangannya pada paruh kedua tahun ini, jadi harapkan rekaman pertama keluar pada tahun 2020 dan volume produksi kadang-kadang pada tahun 2021.

Pernyataan resmi

Pernyataan resmi Samsung tentang Foundry Business berbunyi sebagai berikut:

“Untuk Foundry Business, hasilnya kuat di belakang permintaan yang kuat dari ponsel AP 8/10 nm pelanggan dan produk sensor gambar. Selain itu, pesanan baru dari pelanggan meningkat dalam proses 10/14-nm dan aplikasi terdiversifikasi untuk mencakup produk seluler, HPC, otomotif dan jaringan. Di babak kedua, pertumbuhan pendapatan diperkirakan akan terus berlanjut karena ekspansi berkelanjutan dari pesanan untuk AP, sensor gambar dan DDI serta meningkatnya permintaan untuk HPC, termasuk chip penambangan mata uang kripto. Perusahaan berencana untuk memulai produksi massal proses EUV 6-nm dan bertujuan untuk memperkuat daya saingnya melalui tape-out dari proses 5-n EUV dan dengan menyelesaikan pengembangan proses 4-nm. "

Bacaan terkait:

Sumber: Samsung

Pos terkait

Back to top button